Nice one!!
I followed your advice and couldn't quite get the random effect. I then put the signal through the LPG, adjusted the Mod, Freq & Resonance and BINGO!!
Trial and error, but that's cool with me. All part of the fun of it.
Thank you very much for the nudge.
I'm glad you added the "i.e feed it a square wave" bit. Wasn't quite sure what clocking an input meant.

Cheers MarsFace!!

Simon